Font Size: a A A

Research On Low-power Test Vector Generation Technology Based On LFSR Reseeding

Posted on:2022-10-11Degree:MasterType:Thesis
Country:ChinaCandidate:H J WangFull Text:PDF
GTID:2518306323450544Subject:Circuits and Systems
Abstract/Summary:PDF Full Text Request
With the increasing scale and complexity of the internal circuit,the function of the chip is more powerful,but it will bring serious problems to the test of the chip.The internal power consumption of the chip in the test mode is much higher than that in the normal working mode,especially in the process of built-in self-test,the excessive power consumption will damage the circuit under test and lead to the failure of the chip.The low power test vector generation technology reduces the test power by preprocessing the test vector set.However,the modification of the test vector set results in the low failure coverage in the test process.LFSR replaying technology is a common method of generating test vectors in built-in self-test.It can improve the coverage of test faults by loading test vector seeds into linear feedback shift register.However,while improving the fault coverage,the technology will generate high test power consumption in the circuit under test.In design for testability(DFT),it is a hot topic to generate low-power test vectors by combining LFSR reseeding technology with low-power test vector generation technology.Aiming at the problem of high power consumption caused by test vectors in built-in self-test,this paper proposes a low power test vector generation method based on LFSR reseeding.On the basis of studying the influence of test vector on dynamic test power consumption,the linear correlation between test vector seed and test vector is analyzed deeply.A model of dynamic test power consumption optimization based on Hamming distance sorting test vector seed is proposed to realize the design of low-power test vector seed generation algorithm.Combined with LFSR reseeding technology,a low-power test vector generator based on test vector seed sorting is designed.The simulation design of test vector generator is based on ISCAS85 and ISCAS89.The experimental results show that the total number of test vector seed storage bits is reduced by 64.39%,the average fault coverage is 97.42%,the average area overhead is 4.32%,and the dynamic test power consumption is reduced by 44.21%.Compared with other schemes,the proposed low-power test vector generation technology based on LFSR reseeding has some comprehensive advantages in reducing the number of seed storage bits,improving fault coverage,reducing circuit area overhead and reducing power consumption.
Keywords/Search Tags:Test vector seed reordering, LFSR reseeding technology, Test vector generation, Low power consumption
PDF Full Text Request
Related items