Font Size: a A A

Research Of Inductive-coupling Inter-chip Connection In 3D Stacked Chips

Posted on:2019-04-03Degree:DoctorType:Dissertation
Country:ChinaCandidate:L ZhangFull Text:PDF
GTID:1368330563490896Subject:Microelectronics and Solid State Electronics
Abstract/Summary:PDF Full Text Request
With the continuous extension of Moore's law,devices in the CMOS(complementary metal-oxide-semiconductor)process are becoming smaller and smaller,and the integrated circuits on chip have larger scale.However,when the size of the devices reaches the deep sub-micron scale,it becomes difficult to further reduce.The research of chip design begins to develop in three dimensions,and the technology of chips stacked packaging is emerging.Inductive-coupling interconnect is a kind of wireless interconnection applied to the chips stacked packaging,compared with traditional wire interconnections,such as TSV(Through Silicon Via)interconnection and Micro-Bump interconnection,it has advantages of low cost,high compatibility and high flexibility.However,the inductive-coupling interconnect technology also has problems such as high power consumption,crosstalk between inductors and large area of inductance,which should be further studied and improved.Moreover,due to the short time of inductive-coupling interconnection,applications in many scenarios need to be designed from scratch.With the emphasis on application,the inductive-coupling physical model is analyzed,and also some critical issues about the transceiver circuit and related application design are studied in this dissertation.The main contents are as follows:In terms of the fundamental physical properties of the coupling inductors,the on-chip inductor model is expounded firstly,the basic physical properties are given contains series inductance,series resistance and the shunt capacitance.The Greenhouse algorithm is improved,can make quick and accurate calculation of series inductance of multilayer inductor.Then the equivalent circuit model of inductive-coupling is derived from the inductor model,and the transfer function and time/frequency domain characteristics of inductive-coupling are obtained.A mutual inductance calculate formula is deduced based on Biot-Savart law,can rapid evaluate the mutual inductance change under various inductor sizes and relative positions.Furthermore,the inductive-coupling crosstalk is calculated and analyzed by means of mutual inductance calculation.At the circuit level,the design of low power consumption is carried out on the inductive-coupling transceiver circuit.The traditional NRZ(non-return-to-zero)asynchronous transceiver circuit is introduced,it's a high power consumption circuit because that its current is constant.The current pulse modulation can reduce the duty ratio of the current wave and reduce the power consumption.Based on the NRZ and BPM(bi-phase modulation),a UPM(uni-phasemodulation)circuit is proposed,further reducing power consumption,at the same time,due to the singularity of the signal pulse,crosstalk between inductors also has been effectively reduced.And then puts forward the inductor cascade works,multiple series inductive-coupling channel share one current to reduce the power consumption.Two types of circuit are adopted,the V-type circuit can save more power and the H-type circuit has better frequency characteristics.At the application level,the continuous transmission mode of inductive-coupling interconnection in multi-chip stacking is designed.To realize the continuous transmission of inductive-coupling interconnection,using less inductors and avoiding crosstalk between layers,we proposes two consecutive transmission path-double inductors with shield and three inductors without shield.Then the corresponding stacking structures are introduced.For multilayer stacked memory chips using inductive-coupling interconnection,a FSM(finite state machine)chip select method is proposed,can reduce the amount of chip pad and lead.And then introduces the control mode of the memory interface using inductive-coupling interconnection,which is compatible with traditional memory controller.Finally,a preliminary study of No C(network on chip)with inductive-coupling interconnection is carried out.The most obvious advantage of No C with inductive-coupling interconnection is the flexibility of the package operation to add,remove,and replace chips in the network.First we proposed a method to construct a unidirectional ring network.Because of the inductive-coupling transceiver can alter its mode of transmit or receive,the unidirectional ring network can be transformed to a bidirectional ring network.With changing communicate direction dynamically in one cycle,the latency of network can be reduced.Then,the virtual channel flow control and bubble flow control are applied to the uni-and bi-directional ring network,their performance is compared in terms of latency,throughput,hardware scale and application performance.
Keywords/Search Tags:Stacked chips, Inductive-coupling interconnection, Low power circuit, Continuous transmission, Network on chip
PDF Full Text Request
Related items