Font Size: a A A

Multi-threshold asynchronous pipeline circuits

Posted on:2008-09-11Degree:M.A.ScType:Thesis
University:Carleton University (Canada)Candidate:Shreih, RaghidFull Text:PDF
GTID:2448390005463845Subject:Engineering
Abstract/Summary:
Power consumption of integrated circuits has been rapidly increasing over the past decades, and this is expected to continue for the foreseeable future due to increasing integration and higher frequencies of operation. High power consumption results in shorter battery life and excessive heat generation, which negatively affects reliability and necessitates the design of complex cooling systems. Therefore, it is essential to develop techniques for low power design while maintaining high performance.; This thesis is focused on using multi-threshold circuit-level techniques that maintain high performance while allowing a reduction in the power supply voltage in order to reduce power consumption. These techniques are applied to asynchronous circuits, including micropipelines and GasP pipelines. The resulting circuits are then simulated and compared.; This is the first attempt, as far as we know, that combines multi-threshold and asynchronous circuit techniques. As such, multi-threshold C-Element and GasP circuit structures are proposed to take advantage of multi-threshold voltage techniques to minimize static power dissipation and to maximize performance. Applications of multi-threshold voltage techniques to delay elements and dual edge-triggered flip flops, both of which are used in asynchronous micropipelines, are also examined. Also, two application circuits are designed including custom layout. The selected application circuits are a micropipeline FIFO and a pipelined GasP 16-bit Brent Kung adder. Post-Layout extracted simulations are then run on these application circuits to prove the concept.; The proposed multi-threshold C-Element based pipelines were found to outperform standard micropipelines by achieving higher performance and lower dynamic and static power dissipation. These include new C-Element structures that were designed specifically to take advantage of multi-threshold techniques. The proposed multi-threshold GasP pipeline outperformed standard GasP pipelines as well. It also performed better than the proposed multi-threshold C-Element based pipelines.
Keywords/Search Tags:Multi-threshold, Circuits, Power, Asynchronous, Gasp, Pipelines
Related items