Font Size: a A A

The Research Of Test Data Compression Based On Multiple Scan Chains

Posted on:2007-04-23Degree:MasterType:Thesis
Country:ChinaCandidate:Y J XuFull Text:PDF
GTID:2178360182986610Subject:Computer software and theory
Abstract/Summary:PDF Full Text Request
The application of Very Deep Sub-Micron (VDSM) technology increases the density of chips greatly. IC design is being quickly transformed into SoC (System-On-a-Chip) design. Various pre-designed and pre-verified cores are gradually built on a single chip ,and this design style and innovative technology based on cores enhances the output of design. Now, it is possible to build hundreds of million transistors on a SoC. Also, it takes a shorter time for product to come into the market . But, the continually increasing complexity and testing data volume make the testing cost continuously rising. Particularly, ATE(Automatic Test Equipment) is becoming more and more expensive, so the problem of testing has bottlenecked the development of SoC .The test data compression is a feasible measure to resolve the problem of SoC test. It can be used to decrease the SoC test data volume . The volume of test vector can be decrease to less than one twentieth through compressing (coding).While testing , the compressed data can be decompressed to the original test vectors with the decoding circuit, then the original test vectors are put into the CUT(circuit under test) to finish the test.The main work of the thesis are showed as following:Firstly, the introduction of the basic knowledge and the main methods of SoC test in present.Secondly, the thesis puts forward two kinds of test data compression approach for multiple scan chains:(1) Two-dimensional(vertical/horizontal) test data compression approach for multiple scan chains reduces test data volume and testing time efficiently. First, it does the vertical compression (compatible compression) for the test patterns formatted according to multiple scan chains, and then it rearranges the results to accomplish the horizontal compression for the test set by coding the different word with dictionary and marking the distance.(2) Approach of test data compression based on inverted folding counter for multiple scan chains is based on the scheme of test-data compression of folding counter. It takes advantage of the inverted folding relations between the test patterns, and records the whole test set by one inverted folding seed and the inverted folding distances between the test patterns. During the process of the decompression, it changes the patterns with inverted folding distances to decompress the test set efficiently without reseeding. This methodreduce both the test data volume and the test application time greatly.Thirdly, The design and development of the experiment programs for these two schemes . The results of experiment are shown and compared with Hybrid Codes' and other schemes', and it is evident that these two proposed approach have higher compression ratio than the others. At the same time, the decompression structure is simpler. In a word, these approaches have efficient performance in test.
Keywords/Search Tags:SoC test, test data compression, multiple scan chains, folding counter, store and generate schemes
PDF Full Text Request
Related items