Font Size: a A A

Dynamic packet fragmentation for increased virtual channel utilization and fault tolerance in on-chip routers

Posted on:2012-07-27Degree:Ph.DType:Dissertation
University:University of Southern CaliforniaCandidate:Kang, Young HoonFull Text:PDF
GTID:1458390011956911Subject:Engineering
Abstract/Summary:
Networks-on-Chip (NoCs) have been suggested as a scalable communication solution for many-core architectures. As the number of System-on-Chip (SoC) cores increases, power and latency limitations make conventional buses increasingly unsuitable. Buses are appropriate for small-scale designs but cannot support scaled performance as the number of on-chip cores increases. In contrast, NoCs offer fundamental benefits of high bandwidth, low latency, low power and scalability.;NoCs have evolved providing high performance routers with good resource sharing, multicast routing, and fault tolerance through various techniques. Although many prior research efforts have suggested viable techniques for tackling challenges in NoC design, none have proposed a simple underlying technique that addresses resource sharing, multicast routing, and fault tolerance. This Ph.D. dissertation proposes dynamic packet fragmentation, a technique, that covers multiple NoC research domains and serves as an enabler for viable solutions for challenging issues in on-chip interconnection networks with minimum hardware overheads. Dynamic packet fragmentation addresses a broad range of subjects from performance to fault handling. A proposed router using this technique is shown to increase virtual channel (VC) utilization for performance improvement, provide deadlock avoidance in tree-based multicast routing, and support fault-tolerant flow control for fault handling.;Using this technique, a packet is fragmented when certain blocking scenarios are encountered, and the VC allocated to the blocked packet is then released for use by other packets. The resulting efficient VC utilization provides more flexible flow control, preventing a blocked VC from propagating congestion to adjacent routers. In tree-based multicast routing, fragmentation enables deadlock-free tree-based multicast routing since it resolves cyclical dependencies in resource allocation through packet fragmentation. Fragmentation frees resources that may be required by blocked branches of other multicast packets. In fault-tolerant flow control, packet fragmentation helps to recover faulty flits through a link-level retransmission. The proposed fault-tolerant scheme ensures an error-free transmission on a flit-basis, while using dynamic packet fragmentation at error detection. Fragmentation renews the state information in control planes through a VC reallocation, preventing corrupted states from affecting the rest of the flits. Thus, the proposed router disengages flits from the faulty flit and safeguards the flits following a faulty flit.;The implemented fragmentation router is evaluated through various simulation experiments with synthetic workloads. Performance benefits are demonstrated compared to a baseline router, and accurate power and area measurements are analyzed from a placed & routed layout. The result demonstrates that the fragmentation router shows performance improvement in terms of latency and throughput up to 30% and 75%, efficiently utilizing VCs and saves energy as well. In error sensitive environments, the fragmentation router provides a remarkable level of reliability and is observed to perform well, gracefully degrading while exhibiting 97% error coverage in datapath elements. Thus, the result of packet latency reduction and increased throughput justifies the fragmentation router as a suitable choice for future NoC design.
Keywords/Search Tags:Fragmentation, Packet, Router, Fault tolerance, On-chip, Noc, Tree-based multicast routing, Utilization
Related items