Font Size: a A A

Accelerator-based architectures for wireless sensor network applications

Posted on:2010-10-25Degree:Ph.DType:Dissertation
University:Harvard UniversityCandidate:Hempstead, Mark DavidFull Text:PDF
GTID:1448390002476130Subject:Engineering
Abstract/Summary:
Growing power consumption threatens the explosive growth that the semiconductor industry has sustained over the last several decades. While the number of transistors continues to double every process technology generation, the slowing of constant field scaling has caused power density to increase limiting clock frequency. To combat these trends, designers must get more performance from each transistor switch. Technology companies are applying microprocessors to a growing diversity of applications that are increasingly mobile and untethered from the power grid. One such domain is the emerging area of wireless sensor networks (WSNs) where, because nodes are often deeply embedded in an environment, power consumption is the primary design constraint.;This dissertation explores the challenges of designing in a power-constrained era through the development of a model we call Navigo and the design and implementation of an accelerator-based architecture for WSNs. We designed Navigo to aid in early architecture exploration as an alternative to the spreadsheets and back-of-the-envelope calculations that planners use to guide future designs. The results show that, even under ideal conditions, multicore processors will not achieve the performance gains necessary to maintain growth. This dissertation shows that if an increasing amount of area per technology node is allocated to specialized accelerators, then microprocessor performance growth will be maintained.;As a case study of accelerator-based architectures, we developed a processor for WSNs. Our architecture includes accelerators for regular tasks and event handling is offloaded to the event processor, removing the software overhead of a general purpose design. Because the architecture is modular, VDD-gating can be employed to address leakage current at the architecture level. We built a prototype in 130nm CMOS. We compare our system to other systems in the literature and a general purpose-based design. Our system has the lowest energy per equivalent instruction and results of our workload analysis shows the system is suited both for low-intensity and high-performance WSN applications.
Keywords/Search Tags:Architecture, Accelerator-based, Power
Related items