Font Size: a A A

Research On High Reliability Physical Unclonable Function For Hardware Security Authentication

Posted on:2021-12-27Degree:MasterType:Thesis
Country:ChinaCandidate:Q ZhaoFull Text:PDF
GTID:2518306110485084Subject:Electronic Science and Technology
Abstract/Summary:PDF Full Text Request
Recently,the evolution of hardware security research has moved away from chip identities generated and protected by key-based cryptographic algorithms towards hardware-intrinsic root-of-trust.Physical unclonable function(PUF)as a security primitive,promising the advantages of lightweight,low-power,fast response enrollment and low measurement cost.The manufacturing process variability of nano-scale devices,which used to have negative impact on circuit performance,is now leveraged for generation of secure chip-unique identity without the need for the persistence presence of an on-chip secret key.Furthermore,the tamper-evident property of PUF and its ability to securely identify a device by interrogation without a permanent secret residence or well-defined algorithmic support largely reduce the risks of a number of powerful hardware attack vectors such as reverse engineering,probing and fault injection attacks on smart cards and security tokens.Unfortunately,real PUF circuits can produce erroneous responses upon deployment due to the change in environmental conditions.Therefore,for the research of PUF,innovative structures,correction mechanisms,and application methods in various security protocols are the mainstream research directions.In this paper,the main research lies in the innovative circuit structure and correction mechanism.In circuit innovation,a reliable PUF based on cross-coupled comparator is proposed.Featuring a positive feedback response generation mechanism,the mismatch in analog signals between the cross-coupled transistor pair is quickly amplified to prevent its polarity from flipping by the temporal noise.Moreover,the two transistors(2T)voltage reference(VR)and diode-clamped comparator are also used to implement another reliable PUF structure.The proposed PUF implementation includes an array of the aforesaid 2T voltage references with same transistor size and layout design.Meanwhile,the output voltage variation mainly caused by the CMOS process variation can be well-extracted by the adopted diode-clamped comparator to generate a digital bit stream(256 bit)with excellent randomness.In correction mechanisms,unstable response labeling schemes suitable for two proposed PUFs can further improve reliability.Those designs are both validated by extensive simulations and measurement results of chip instances fabricated in standard 65 nm CMOS process.
Keywords/Search Tags:PUF, cross-coupled comparator, voltage reference, high reliability
PDF Full Text Request
Related items