Font Size: a A A

Compiler support for a multimedia system-on-chip architecture

Posted on:2006-08-24Degree:M.A.ScType:Thesis
University:University of Toronto (Canada)Candidate:Aydonat, UtkuFull Text:PDF
GTID:2458390008973367Subject:Engineering
Abstract/Summary:
The Multi-Level Computing Architecture (MLCA) is a novel parallel System-on-Chip architecture targeted for multimedia applications. Although it provides a simple programming model that eases porting of applications, the architecture requires the support of a compiler to deliver good performance. We design code transformations that increase the performance of MLCA programs. These code transformations are parameter deaggregation, buffer privatization, buffer replication and buffer renaming. We implement the code transformations in a prototype compiler which is based on the ORC compiler. We also provide an API for programmers to optionally give high level data access information to the compiler. Our experimental evaluation of the prototype compiler, using an MLCA simulator and real multimedia applications, shows that our code transformations generate MLCA programs that exhibit scaling speedups comparable to that of the manually ported versions of the applications.
Keywords/Search Tags:MLCA, Code transformations, Compiler, Multimedia, Architecture, Applications
Related items