Font Size: a A A

Design And Implementation Of A Event-Based Image Sensor With Physical Unclonable Function

Posted on:2020-04-05Degree:MasterType:Thesis
Country:ChinaCandidate:Z J GuoFull Text:PDF
GTID:2428330590478628Subject:Integrated circuit engineering
Abstract/Summary:PDF Full Text Request
Inspired by the working mechanism of human retina,the dynamic vision sensor(DVS)has become a research hotspot recently because of its certain advantages over the conventional frame-based image sensor.Instead of working in integration mode,the pixel in DVS continuously monitors local light intensity change through a front-end logarithmic photo detector and reports an event if certain threshold is reached.It can detect both the fast motion that has traditionally had to be captured by expensive high-speed cameras and avoid generating huge amounts of data.With the advancements of the integrated circuits and technology,the manufacturing cost of image sensors has dropped sharply,and the use of the DVS-based cameras has become more popular.Video surveillance using them has become an integral part of law enforcement.However,the accountability of the footage captured is incomplete,if cue is triggered by an unauthenticated device.Therefore,the trustworthiness of the DVS-based camera must be established.As a new hardware security primitive,Physical Unclonable Function(PUF)leverages the uncontrollable manufacturing process variations and mismatch of identically designed circuits to assign an integrated device a unique fingerprint,which provides an effective scheme for establishing the credibility of DVS sensors.This paper presents a new DVS with PUF function,which improves the existing sensor and establishes its credibility.With the UMC 0.18?m 1P6 M standard CMOS process,this paper completed the design of the improved DVS sensor and dark current PUF based on the sensor,and realized the layout of the DVS part and PUF part of the event-based image sensor with a Physical Unclonable Function.The DVS part realizes a 32×32 pixel DVS sensor with a pixel area of 36.5?m×36.5?m and 24% fill factor.The total chip core area is 1.3mm×1.35 mm,and its minimum event latency is 2?s.The PUF part realizes the layout of 16×16 pixel DVS-based dark current PUF.The PUF chip area is 320?m×410?m.The PUF has near ideal uniqueness of 49.995%.Its worst-case intrinsic reliability reaches 98.44%@1.6V for the supply voltage variation from 1.6V to 2.1V.Its worst-case intrinsic reliability is 94.54%@100? for the temperature variation from-20? to 120?.By setting the threshold Nth=6 for this PUF,the reliability for temperature and power supply voltage can reach 100%.Its randomness passed NIST tests.
Keywords/Search Tags:Dynamic Vision Sensor, Address Event Representation, Hardware Security, Physical Unclonable Function, Dual Sampling Technology
PDF Full Text Request
Related items