Font Size: a A A

Analysis And Optimization Of IR-drop In P/G Network On Chip

Posted on:2019-11-05Degree:MasterType:Thesis
Country:ChinaCandidate:Z LiFull Text:PDF
GTID:2428330572458978Subject:Microelectronics and Solid State Electronics
Abstract/Summary:PDF Full Text Request
The reduction of Characteristic dimensions is the main driving force improving performance of Integrated Circuit(Integrated Circuit,IC)design in the past decades,nowadays,tremendous progress in speed and integration density of IC design has been achieced.However,these improvements have made the design of the on-chip P/G(Power/Grand,P/G)network more difficult.For example,the power consumption of P/G networks in VLSI is increasing,and the supply voltage gets lower.These new features will cause IR-drop on the P/G network,so as to fluctuate the supply voltage,increase the logic gate delay,and decrease the noise margin.Therefore,reducing IR-drop in P/G networks has become an important goal in the design of integrated circuits.In the article,a formula based on solving IR-drop problem has been proposed to solve IR-drop in P/G network.Firstly,the P/G network is divided into four cases according to the difference between number of voltage sources and current sources in the P/G network,then the equations for solving the effective resistance in an infinite resistance network are used to develop IR-drop expressions for each node in the P/G network in these four cases.Finally,the IR-drop value of each node is calculated by Matlab software,the maximum error is less than 10% compared with the valued gained from ADS simulation software.In the article,an optimized method of power pad has been improved.Firstly,two kind of problems in power pad optimization are given.By using the expression of IR-drop in the P/G network obtained in the article,one-by-one solution method,exhaustive method and combined method of two methods are given respectively.Then,in a P/G network design example,optimized results of the random allocation method,one-by-one solution method and the combined method of two methods are compared and analyzed using simulation software ADS.The final results show both two optimized methods are able to effectively reduce the IR-drop in the P/G network.When compared with the random allocation method,the IR-drops obtained by the one-by-one solution method and the combined method of two methods are reduced by 35.78% and 35.35%,respectively.Through the analysis of P/G networks with time-varying current sources,it is found that decoupling capacitors can effectively optimize IR-drop.In order to achieve a more cooperative layout between the decoupling capacitor and the voltage source,a concept of effective area has been given in this article.Based on this concept,the impact of different distribution methods on decoupling capacitors on the P/G network can be obtained.Then by verifying IR-drop on the P/G network in ADS simulation software,an effective way of decoupling capacitor allocation has been proposed.
Keywords/Search Tags:P/G network, IR-drop, Power pad, Decoupling capacitors
PDF Full Text Request
Related items