Font Size: a A A

Power distribution in TSV based 3-D processor-memory stacks

Posted on:2013-01-19Degree:M.SType:Thesis
University:State University of New York at Stony BrookCandidate:Satheesh, Suhas MFull Text:PDF
GTID:2452390008485161Subject:Engineering
Abstract/Summary:
Three primary techniques for manufacturing through silicon vias (TSVs), via-first, via-middle, and via-last, have been analyzed and compared to distribute power in a three-dimensional (3-D) processor-memory system with nine planes. Due to distinct fabrication techniques, these TSV technologies require significantly different design constraints, as investigated in this work. A valid design space that satisfies the peak power supply noise while minimizing area overhead is identified for each technology. It is demonstrated that the area overhead of a power distribution network with via-first TSVs is approximately 9% as compared to less than 2% in via-middle and via-last technologies. Despite this drawback, a via-first based power network is typically overdamped and the issue of resonance is alleviated. A via-last based power network, however, exhibits a relatively low damping factor and the peak noise is highly sensitive to number of TSVs and decoupling capacitance.
Keywords/Search Tags:Power, Tsvs
Related items