Font Size: a A A

FISH: Linux System Calls for FPGA Accelerator

Posted on:2018-12-30Degree:M.A.SType:Thesis
University:University of Toronto (Canada)Candidate:Nam, KevinFull Text:PDF
GTID:2448390002998890Subject:Computer Engineering
Abstract/Summary:
This work presents the FISH (FPGA-Initiated Software-Handled) framework which allows FPGA accelerators to make system calls to the Linux operating system in CPU-FPGA systems. A special FISH Linux kernel module running on the CPU provides a system call interface for FPGA accelerators, much like the ABI which exists for software programs. The work presents the proposed framework that facilitates the FPGA to Linux kernel system call interface. The work also presents a proof-of-concept implementation of the framework running on an Intel Cyclone V SoC device. The FISH framework could be especially useful for high-level synthesis (HLS) by making it possible to synthesize software code that contains system calls. This would expand the subset of code that is currently synthesizable, and allow behavior in accelerators that was previously not possible.
Keywords/Search Tags:FPGA, System calls, FISH, Linux, Accelerators, Framework
Related items