Font Size: a A A

Multicore system design with XUM: The Extensible Utah Multicore project

Posted on:2011-11-30Degree:M.SType:Thesis
University:The University of UtahCandidate:Meakin, Benjamin LaSalleFull Text:PDF
GTID:2448390002453285Subject:Computer Science
Abstract/Summary:
With the advent of aggressively scaled multicore processors utilizing increasingly complex on-chip communication architectures, the need for efficient and standardized interfaces between parallel programs and the processors that run them is paramount. Hardware designs are constantly changing. This complicates the task of evaluating innovations at all system layers. Some of the most aggressively scaled multicore devices are in the embedded domain. However, due to smaller data sets, embedded applications must be able to exploit more fine grained parallelism. Thus, more efficient communication mechanisms are needed.;This thesis presents a study of multicore system design using XUM: the Extensible Utah Multicore platform. Using state-of-the-art FPGA technology, an 8-core MIPS processor capable of running bare-metal C programs is designed. It provides a unique on-chip network design and an instruction-set extension used to control it. When synthesized, the entire system utilizes only 30% of a Xilinx Virtex5 FPGA. The XUM features are used to implement a low-level API called MCAPI; the Multicore Association Communication API. The transport layer of a subset of this API has a total memory footprint of 2484 bytes (2264B code, 220B data). The implemented subset provides blocking message send and receive calls. Initial tests of these functions indicate an average latency of 310 cycles (from funtion call to return) for small packet sizes and various networking scenarios. Its low memory footprint and low latency function calls make it ideal for exploiting fine-grained parallelism in embedded systems.;The primary contributions of this work are threefold; First, it provides a valuable platform for evaluating the system level impacts of innovations related to multicore systems. Second, it is a unique case study of multicore system design in that it illustrates the use of an instruction set extension to interface a network-on-chip with a low level communication API. Third, it provides the first hardware assisted implementation of MCAPI enabling fast message passing for embedded systems.
Keywords/Search Tags:Multicore, System, XUM, Communication, API, Embedded, Provides
Related items