Font Size: a A A

Research On Fault-Tolerant About Routing Algorithms And TSV In Vertically Partially Connected 3D NoC

Posted on:2015-02-12Degree:MasterType:Thesis
Country:ChinaCandidate:Q Q HanFull Text:PDF
GTID:2308330473959340Subject:Computer system architecture
Abstract/Summary:PDF Full Text Request
Compared to the previous 2D NoC,3D NoC can shorten the network interconnect length, increase the system parallelism, reduce the power consumption and improve the system performance. However, due to the inter-layer interconnect TSV’s lower yield and other components failure or design factors will bring the 3D NoC into non-fully interconnected, and result in reliability issues. Therefore, this thesis will research on fault-tolerant about routing algorithms and TSV in vertically partially connected 3D NoC. The main work is as follows:(1)Contrary to problems about the TSV being difficult to find and interlayer IP cores being isolated in vertically partially connected 3D NoC, a distributed routing algorithm is proposed in Chapter Ⅲ. Through adding TSV UP/Down table in each router, the interlayer communication packet can find the best TSV address before it injects into the network, which not only ensures the interlayer IP’s effective communication but also makes the packet transfer on the shortest path. If a packet reaches to the destination layer, it uses our planar fault tolerant routing algorithm to find the target; otherwise it finds a best TSV address in the TSV UP/Down table and then continues to transmit. The results demonstrate that, the performance of this algorithm in the fault rate reaching to 25% under uniform traffic distribution is near to the one of XYZ algorithm in fault free network. This algorithm’s delay and throughput are better than the reference algorithms’ in fault free 3D NoC.(2)Contrary to problems about non-fully interconnected system’s reliability and traditional fault-tolerant algorithms’s wasting resources, a TSV fault classification fault tolerant method is proposed in chapter Ⅳ. The TSVs and data bits are divided into four groups, if TSV fault state value less than or equal 6, then use the remaining available TSV to realize serial data transmission between groups and within groups, otherwise use localized fault-tolerant algorithm in Chapter IV to find the optimal TSV address in local TSV status table, then add it to the packet header as a temporary destination address to complete the inter-layer communication. The results demonstrate that, under different fault conditions this method’s network performance is better than the reference object, and reduce the network performance degradation.
Keywords/Search Tags:non-fully interconnected 3D architecture, tolerant, reliable communication, routing algorithm, TSV
PDF Full Text Request
Related items