Font Size: a A A

Study And Design Of System-level Thermal Control Of Processor

Posted on:2012-04-14Degree:MasterType:Thesis
Country:ChinaCandidate:Z F WangFull Text:PDF
GTID:2178330338992017Subject:Network Communication System and Control
Abstract/Summary:PDF Full Text Request
The improvement of microprocessor technology gives rise to the chips withhigher transistor density and more advanced performance. The power dissipation ofmicroprocessor increases as the transistor density becomes higher, which togetherwith the accumulated heat from chip lead to severer heat dissipation problem. Thehigh temperature on chip not only reduces the usability and life cycle of theprocessor but also increase the cost of cooling the processor. These days as theemergence of Chip Multiprocessors(CMP),Simultaneous Multi-Threading(SMT)and Symmetrical Multi-Processing(SMP), the transistor density is getting higher andhigher on a single chip and the power dissipation problem is more and more serious.There are different kinds of Dynamic Thermal Management(DTM) technologieswhich are to control the thermal from operation. However these technologies onlyconsider the temperature of processor, they do not take the heat features fromdifferent tasks operating on the processor into consideration.In recent years, the technology of thermal controlling based on hardwareframework already emerged. It monitors the processor temperature throughincreasing thermal controlling units on the processor and lower processor speedwhen the temperature of processor is greater than the threshold value to reduce theheat of processor. Besides, there are more and more ways to do thermal control onoperating system level which are more flexible than thermal control based onhardware framework. Therefore, I, based on others'work, propose controllingthermal on operating system level through the optimizing of task scheduler.In this paper, based on the default polling Linux kernel scheduler, we addtemperature characteristics of the task on-line acquisition module, and take the datacollected as meta-data to implement a task-oriented temperature-aware taskscheduler, this task scheduler integrate the dynamic temperature characteristics oftasks, run-time load statistics and real-time chip temperature statistics to determinewhich task is scheduled and whether need to call time-slice dynamic expansion.We the scheduler have implemented on Intel Core 2 Solo processor and test thisscheduler with 16 representative benchmarks from the Mibench and SPEC 2000benchmark set to assess the scheduler. compared with the default Linux scheduler atraditional temperature-aware task scheduler make very limited improvements on on-die average temperature and peak temperature, but our proposed task-orientedtemperature-aware task scheduler reduce the average temperature by 2℃, 5% of thenormal temperature (3℃the maximum, 10% of the normal temperature) and the peaktemperature by 1℃(2℃the maximum) with the price that almost can be ignored,well reduce the processor power consumption and chip temperature.The main work includes:1.Sum up the development process of modern processors, and describe thewith the increasing frequency of the processor based on the Moore's Law, the heatgenerated by the processor is also growing and becoming a main impact on theprocessor performance and challenge the development of modern processors and thenecessary of how to reduce heat generated by the processor without damaging theperformance of processor. And describe the results of research in related fields, andanalysis of the advantages and disadvantages of current research results, andintroduce improvements in the task scheduler from the operating system level toreduce processor power consumption is a very flexible and effective program.2.Introduce a special kind of hardware detection circuit in modern processors-Performance Monitoring Unit (PMU) and using PMU to monitor and statistics someevents underlying hardware processor and how to estimate the temperaturecharacteristics of each task base on the data, we implement an on-line statistical datamodule from different events in the PMU in the Linux operating system, using thedata from the statistical module to direct our scheduler to make schedulingstrategies.3.Propose a task-oriented temperature-aware task scheduling algorithm andtime slice scaling algorithm, based on the default scheduler in the Linux operatingsystem, we implement the task scheduling algorithm , and evaluate this scheduler ina real environment, the experiment results show that the scheduler reduce 5% -10%of the heat generated without degrading the system performance.4.Propose a multi-core task scheduling algorithm base on the task-orientedtemperature-aware task scheduler running on a single core, and we simulate thismulti-core task scheduling algorithm, the results of our experiment shows that thisalgorithm can reduce 3% -5% of the whole heat generated.
Keywords/Search Tags:Thermal Management, Temperature Aware, Task Scheduling, TaskMigration, Thermal Characteristic Statistics
PDF Full Text Request
Related items