Font Size: a A A

Plasma etching chemistries and reaction mechanisms for patterning high dielectric constant materials on silicon

Posted on:2004-08-21Degree:Ph.DType:Dissertation
University:University of California, Los AngelesCandidate:Sha, LinFull Text:PDF
GTID:1468390011977313Subject:Engineering
Abstract/Summary:
To pattern high dielectric constant materials and enable their integration in sub-100 nm complementary metal oxide semiconductor field effect transistors (CMOSFET), we developed high density and low ion energy plasma etching processes using chlorine and boron trichloride chemistry and elucidated the reaction kinetics of etching ZrO2 and HfO2.;An Electron Cyclotron Resonance (ECR) high-density plasma reactor was designed and implemented with various plasma diagnostics, including Langmuir probe, optical emission spectroscopy, and quadrupole mass spectroscopy. For BCl3/Cl2 plasmas, the electron temperature ranges from 1 eV to 3 eV, and the ion (Cl2+, Cl+, and BCl2+) density is from 1010 to 1011 cm-3, depending on the power (50 to 600 W) and pressure (1 to 10 mTorr).;Using high density BCl3/Cl2 plasmas with low ion energies, the etch rates of ZrO2 and HfO2 thin films were found to be dominated by the square root of ion energy. The addition of BCl3 significantly increased the metal oxide etch rates and their selectivity to Si, especially at low ion energies. In Cl2 plasmas, highly chlorinated metal chlorides (MClx) were the dominant etching products. In BCl3 plasmas, the etching products were found to be metal bonded to boron and chlorine (MBxCy). Surface chlorination and boron passivation was confirmed by X-ray photoelectron spectroscopy.;A generalized phenomenological model was proposed to describe the etching of ZrO2 and HfO2 in pure BCl3 plasmas, taking into account the ion density, Cl radical density, ion energy, and the metal oxygen bond strengths. The model predicted well the etch rates of both ZrO 2 and HfO2 in BCl3 plasmas, with a only slight deviation to the experimental measurements at high power and low pressure plasma conditions.;Finally, BCl3 plasma etching was integrated in the NMOSFET fabrication process to pattern 9-nm HfO2 thin films on silicon and is proven to be effective in meeting the device integration criteria for sub-100 nm devices.
Keywords/Search Tags:Ion, Plasma etching, Metal
Related items