Font Size: a A A

Studies On The Performances And Applications Of La-based High-k Materials Deposited By ALD

Posted on:2018-05-18Degree:DoctorType:Dissertation
Country:ChinaCandidate:X WangFull Text:PDF
GTID:1368330542992912Subject:Microelectronics and Solid State Electronics
Abstract/Summary:PDF Full Text Request
Microelectronics technology has developed in accordance with Moore's Law for many years.The performance of metal-oxide-semiconductor field transistor?MOSFET?has been improving with the downscaling of feature size.However,in sub-45 nm complementary metal oxide semiconductor?CMOS?technology,the scaling of SiO2 gate dielectric thickness leads to an unacceptable gate leakage current,which affects the reliability of the device and causes an increase in static power dissipation.Therefore,new kinds of dielectric materials with high permittivity are needed to replace the traditional SiO2 gate dielectric to obtain a smaller equivalent oxide thickness?EOT?in the CMOS industry.Presently,the use of HfO2 as the gate dielectric in the high-k/metal gate structure has been successfully applied to MOSFET fabrication and is gradually replacing the traditional SiO2/poly-Si gate structure.Nevertheless,further downscaling trend makes the use of HfO2as gate dielectric in the CMOS technology encounter a bottleneck.During the past two decades,due to its appreciably high dielectric constant?24–32?,large band gap?Eg>5 eV?and band offsets?>1 eV?relative to silicon,La-based high-k materials have been considered as one of the most promising alternative for HfO2 to achieve a more aggressive downscaling of the equivalent gate oxide thickness?EOT?.Amongst the thin film deposition methods,atomic layer deposition?ALD?is a typically low-temperature deposition technique,and its self-limited surface reaction mechanism makes the films deposited by ALD have some outstanding properties such as atomic scale thickness controllability,fine uniformity and excellent conformality.Regarding this,ALD is considered as one of the most appropriate way to produce high quality high-k gate dielectric.In this dissertation,the physical,chemical and electrical properties of La-based high-k dielectric such as La2O3,LaxAlyO and La2O3/Al2O3 stack structures deposited by ALD are investigated.The main contents and achievements of this dissertation are outlined as follows:1.La2O3 films were grown on Si substrates by ALD with different thickness.Crystallization characteristics of the La2O3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing?RTA?treatments at several annealing temperatures.It was found that the crystallization behaviors of the La2O3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate.Compared with the amorphous La2O3 films,the crystallized films were observed to be more unstable due to the hygroscopicity of La2O3.Besides,the impacts of crystallization characteristics on the bandgap and refractive index of the La2O3films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry,respectively.2.LaxAlyO films were grown on p-Si substrates by ALD with different La and Al precursor pulse ratios.Then atomic concentrations and band alignments of the films were determined from X-ray photoelectron spectroscopy measurements.It was found that the bandgap and valence band offset increase synchronously with increasing Al composition,while the conduction band offset varies slightly.Furthermore,as the composition of Al increased,significant decrease in the gate leakage current for the metal-insulator-semiconductor?MIS?capacitors using LaxAlyO films as insulators was observed due to the formation of larger bandgaps and valence band offsets.3.The physical and electrical characteristics of Al2O3/La2O3/Al2O3/Si stack structures affected by the thickness of an Al2O3 barrier layer between Si substrate and La2O3 layer were investigated after a rapid thermal annealing?RTA?treatment.Time of Flight Secondary Ion Mass Spectrometry?TOF-SIMS?and X-ray photoelectron spectroscopy?XPS?tests indicated that an Al2O3 barrier layer?1.5nm?plays an important role in suppressing the diffusion of Silicon atoms from Si substrate into the La2O3 layer during the annealing process.Besides,it was found that significant improvements in oxygen vacancy and gate leakage current characteristics were achieved as the thickness of Al2O3 interlayer increase.However,extra structural defects and dangling bonds brought in by the un-optimized processing conditions of the first few cycles of Al2O3 deposition would deteriorate the interfacial characteristics of the gate stacks,resulting in lower breakdown field strength.4.The effects of different annealing ambients on the physical and electrical properties of LaxAlyO films grown by ALD were investigated.Post-grown RTA was carried out at600°C for 1 min in vacuum,N2 and O2,respectively.It was found that the chemical bonding states at the interfacial layers?ILs?between LaxAlyO films and Si substrate were affected by the different annealing ambients.The formation of IL was enhanced during the RTA process,resulting in the decrease of accumulation capacitance,especially in O2ambient.Furthermore,based on the capacitance-voltage characteristics of LaxAlyO/Si MIS capacitors,positive VFB shifting tendency could be observed,indicating the decrease of positive effective oxide charges.Meanwhile,both trapped charge density and interface trap density showed decreased trends after annealing treatments.In addition,RTA process in various gaseous ambients can reduce the gate leakage current due to the enhancement of valence band offset and the reduction of defects in the LaxAlyO/Si structure in varying degrees.5.The effect of stress relieved preoxide?SRPO?interface engineering on the LaxAlyO/Si interfacial properties was investigated.Compared with the LaxAlyO film grown by ALD on the standard RCA preclean Si substrate,the SRPO pretreatment sample displayed obvious improvement in the interfacial properties such as the significant decrease in trapped oxide charges and interface traps.As a result,a reduction of more than one order of magnitude in the gate leakage current density was obtained after the SRPO pretreatment.Besides,the breakdown field strength of LaxAlyO gate dielectric was also enhanced.However,the SRPO pretreatment proved to be has little effect in thinning the IL between LaxAlyO film and Si substrate.An IL remote-scavenging method was introduced to scavenge the IL by using scavenging metal gate and post-metallization annealing?PMA?.Evident IL reduction corroborated by the transmission electron microscopy results suggested the feasibility of this method in IL scavenging,resulting in higher k value and thinner EOT compared with the control sample.But unfortunately,some disadvantages such as the degradations in interface trap,oxide trapped charge and gate leakage current characteristics were also observed.
Keywords/Search Tags:Atomic layer deposition, La-based high-k dielectrics, Crystallization characteristics, Band alignments, Annealing ambients, interfacial properties
PDF Full Text Request
Related items