Font Size: a A A

Research And Implementation Of Multi-core Temperature-aware LINUX Task Scheduler

Posted on:2011-02-23Degree:MasterType:Thesis
Country:ChinaCandidate:J W YeFull Text:PDF
GTID:2178360308453434Subject:Computer system architecture
Abstract/Summary:PDF Full Text Request
The power-consumption issue is becoming more and more important in modern microprocessor design. The increasing power density of current microprocessors causes the temperature of the chip rose, which would cause severe damage to processor's availability. Many DTM mechanisms have been proposed to prevent the overheating of CPUS, introducing performance losses which could not be neglected. It would be better to achieve the goal of reducing temperature in a higher level.This paper has proposed several task scheduling algorithms which based on processors'temperature in the operating system level, trying to utilize the scheduler to do thermal management and temperature redistribution. The hottest-cpu-migration algorithm has been discussed and improved. Experiments showed that the temperature of microprocessors will significantly fall, about 10%. Also the temperature gap between hottest and coolest cores can also fall around 30%, with a modest 6% performance loss.
Keywords/Search Tags:multi-core, temperature-aware, Linux scheduler, CFS, Hottest-CPU-Migration
PDF Full Text Request
Related items