Font Size: a A A

Pattern matching for advanced lithographic technologies

Posted on:2011-12-13Degree:Ph.DType:Dissertation
University:University of California, BerkeleyCandidate:Rubinstein, Juliet AlisonFull Text:PDF
GTID:1448390002454656Subject:Engineering
Abstract/Summary:
This dissertation extends fast-CAD kernel convolution methods for the identification of unintended effects in optical lithography, including OPC-induced sensitivities, high-NA and polarization vector effects. A more accurate through-focus physical model is incorporated, and the application of layout decomposition guidance for double patterning is demonstrated. Kernel convolutions are performed between Maximum Lateral Test Patterns (MLTPs) and mask layouts, and are over a factor of 104 times faster than rigorous simulation.;As motivation for why variability and yield are important, a study is presented in which a probabilistic distribution of transistor Critical Dimensions (CD) is generated given a focus-exposure joint distribution. An interpolation model is used to generate CD response surfaces, producing a fast method for the analysis of average CD variation for each transistor, the spread of individual variations, the OPC performance, the Across Chip Linewidth Variation (ACLV), and yield distribution. This study motivates the importance of understanding the variability in a layout; the remainder of the dissertation demonstrates how pattern matching can provide a fast approximation to variability due to lithographic effects.;MLTPs, derived as the inverse Fourier Transform of the Zernike polynomials, are the theoretically most sensitive patterns to lens aberrations. As well as being used as input to the pattern matcher, MLTPs can also be etched onto a mask to function as aberration monitors. However, MLTPs are inherently very costly and unfriendly for mask manufacturing, due to round edges and touching phases. Both a mask-friendly handmade pattern and an automated method of monitor modification are presented. The handmade pattern retains 68% of its sensitivity to defocus and orthogonality to other aberrations, and the automatically generated pattern passes all DRC checks with only minimal modifications.;Use of the pattern matcher on pre-OPC layouts admits the identification of problematic hot-spots earlier in the design flow. Several studies are presented on the effects of different OPC algorithms on match factors. In most cases, match factors do not vary significantly between the pre-OPC layout and the post-OPC layout, and the pre-OPC match factor is a good indicator for the sensitivity of the post-OPC layout area. However, in some circumstances, especially when SRAFs are present, the pre- and post-OPC match factors can vary by a larger amount. It is shown that defocus and proximity sensitivities occur in different locations on a layout, and if OPC targets the best-case simulation, then it is possible for OPC to worsen sensitivities to aberrations. As a consequence, pattern matching should be used on post-OPC layouts to check for any created sensitivities.;The accuracy of the pattern matcher is evaluated, and improved. A method for predicting edge movement through coma, rather than just change in intensity, takes the image slope into account and improves the R 2 from 0.73 to 0.95. A major contribution of this dissertation is the improvement of the pattern matching model for defocus.;Double patterning is identified as an emerging technique that benefits from the application of pattern matching. In double patterning, a layout is split into two masks, each mask being exposed separately, effectively doubling the pitch. A process flow is presented showing that pattern matching can add value both within the double patterning decomposition algorithm, and also on the post-decomposition layout. Pattern matching is tested on post-decomposition layouts, showing that in one particular case using complementary dipole illumination, the match factors for coma are increased significantly on the post-decomposition layout. In another case for annular illumination, introducing an extra split is shown to reduce the variability through coma, and reduces the match factor by 55%. Furthermore, when splitting an H-structure, a number of different splits are scanned by the pattern matcher, and the split with the lowest intensity change through defocus (which was two thirds smaller than the largest change) is correctly identified. These examples show that the pattern matcher is an appropriate tool for double patterning, that can quickly provide a measure of intensity change through defocus during the layout decomposition process. (Abstract shortened by UMI.)...
Keywords/Search Tags:Pattern, Layout, OPC, Defocus, Change, Sensitivities, Effects
Related items