Font Size: a A A

Minimizing Leakage Energy in FPGAs Using Intentional Post-Silicon Device Aging

Posted on:2014-01-20Degree:M.SType:Thesis
University:University of California, Los AngelesCandidate:Wei, ShengFull Text:PDF
GTID:2452390008460279Subject:Computer Science
Abstract/Summary:
The presence of process variation (PV) in deep submicron technologies has become a major concern for energy optimization attempts on FPGAs. We develop a negative bias temperature instability (NBTI) aging-based post-silicon leakage energy optimization scheme that stresses the components that are not used or are off the critical paths to reduce the total leakage energy consumption. Furthermore, we obtain the input vectors for aging by formulating the aging objectives into a satisfiability (SAT) problem. We synthesize the low leakage energy designs on Xilinx Spartan6 FPGA and evaluate the leakage energy savings on a set of ITC99 and Opencores benchmarks.
Keywords/Search Tags:Energy
Related items