Font Size: a A A

Accelerating molecular docking and binding site mapping using FPGAs and GPUs

Posted on:2012-02-23Degree:Ph.DType:Dissertation
University:Boston UniversityCandidate:Sukhwani, BharatFull Text:PDF
GTID:1454390008493750Subject:Engineering
Abstract/Summary:
Computational accelerators such as Field Programmable Gate Arrays (FPGAs) and Graphics Processing Units (GPUs) possess tremendous compute capabilities and are rapidly becoming viable options for effective high performance computing (HPC). In addition to their huge computational power, these architectures provide further benefits of reduced size and power dissipation. Despite their immense raw capabilities, achieving overall high performance for production HPC applications remains challenging due to programmability, lack of parallelism in existing codes, poor resource utilization, and communication overheads. In this dissertation, we present methods for the effective use of these platforms for the acceleration of two production molecular modeling applications: molecular docking and binding site mapping.;Molecular docking refers to the computational prediction of the structure of the intermolecular complex formed when two independent proteins interact. Binding site mapping, on the other hand, aims at finding the region on the surface of a protein that is likely to bind a small molecule with high affinity. Docking and mapping find application in drug discovery which involves docking-based screening of millions of drug candidates for a given protein target; mapping helps identify the site on the protein where the binding is likely to occur, thus limiting the docking-based search to a small region.;Both docking and binding site mapping are computationally very demanding, requiring many hours to days on a serial processor. This makes it impractical for biologists to run them interactively on their desktop computers; production docking and mapping programs typically run in batch on large clusters. In this dissertation, we present the FPGA and CPU based acceleration of the production molecular docking program PIPER and the production binding site mapping program FTMap, enabling desktop based molecular modeling solutions which are fast and cost effective as well as more power efficient.;The proposed FPGA-docking algorithms achieve multi-hundred-fold speedup of the code that represents over 95% of the original run-time, resulting in 36x overall speedup for small molecule docking. For effective docking of large molecules, we propose CPU accelerated docking algorithms which result in an overall speedup of 18x. The acceleration of mapping computations on FPGAs and CPUs poses further challenges for two reasons: the process is iterative, with relatively little computation per iteration, and a large fraction of the computation is serial. We address these issues on the FPGAs by creating highly customized, deeply pipelined processors. On GPUs, we introduce two new data structures that enable effective parallelization. The result using the GPUs is 6x to 28x speedup on different parts of the algorithm, with an overall speedup for FTMap of 13x. The FPGA-accelerated algorithms obtain 42x performance improvements on the core computations, resulting in an overall speedup of 30x.;Many of the proposed algorithms and hardware structures are general and can vii be applied to a variety of other applications, both in the field of molecular modeling as well as other domains such as object recognition, n-body simulations and full-field biomechanics deformation and strain-measurement.
Keywords/Search Tags:Binding site mapping, Molecular, Docking, Fpgas, Gpus, Overall speedup
Related items