Font Size: a A A
Keyword [Interconnects]
Result: 181 - 200 | Page: 10 of 10
181. Wideband chip-to-chip interconnects for high performance computing
182. Statistical analysis of electromigration lifetimes and void evolution in copper interconnects
183. Designing energy-efficient and reliable caches and interconnects
184. Closed-form macromodels for analysis of high-speed interconnects in the presence of electromagnetic fields
185. Electrical through-wafer interconnects for integrated sensors and actuators
186. Multi-scale free-space optical interconnects for intrachip global communication
187. Novel and efficient numerical analysis of packaging interconnects in layered media
188. Energy-Efficient and High-Performance Nanophotonic Interconnects for Shared Memory Multicores
189. Electromagnetic modeling of high-speed interconnects with frequency dependent conductor losses, compatible with passive model order reduction techniques
190. Lightweight hierarchical error control codes for multi-bit differential channels
191. Compliant material for MEMS contacts and interconnects
192. Chip-scale optical interconnects
193. Optoelectronic modulators for optical interconnects
194. Space coding applied to high-speed chip-to-chip interconnects
195. Design and fabrication of a compact chip-scale optical cross-connect enabled by photonic crystals for optical interconnects
196. Current-mode band-limited signaling for global on-chip interconnects
197. Multi-scale reflection modulator-based optical interconnects
198. High Performance Quantum Dot Laser WDM Arrays for Optical Interconnects
199. Parallel Wavelength Routing Optical Interconnects for High-Performance Computing Systems
200. Modeling, Design, and Fabrication of Carbon Nanostructures for Next-Generation Integrated Circuit Interconnects and Passive Devices
  <<First  <Prev  Next>  Last>>  Jump to