Font Size: a A A
Keyword [Implementations]
Result: 181 - 200 | Page: 10 of 10
181. Trade-offs in implementing consistent distributed storage
182. Network event recognition
183. System-on-chip (SoC) design methodology and implementations for neural implants using deep submicron CMOS
184. Gigabit Ethernet (FPGA Implementations)
185. Robust implementations of the multistage Wiener filter
186. Cell Based Synthesized Low Noise All Digital Frequency Synthesizer, 0.13mum CMOS and FPGA Implementations
187. Blind source recovery: Theoretical formulations, implementations and application to CDMA communication systems
188. Bluetooth/WLAN receiver design methodology and IC implementations
189. Generic C++ implementations of pairwise sequence alignment: Instantiation for global alignment
190. Iterative processing: From applications to parallel implementations
191. Robust target tracking: Theory, applications and implementations
192. Evaluation des differentes implementations de MPI (French text)
193. Routing problems with selection decisions: Algorithms and implementations
194. Flexible basis function neural networks for efficient analog implementations
195. On the equivalence of strongly t-resilient and wait-free implementations of consensus
196. Integrated multifunctional reprogrammable MEMS deformable mirror and three-dimensional phase retrieval based adaptive optic system implementations
197. Complex number on-line arithmetic for reconfigurable hardware: Algorithms, implementations, and applications
198. Three-dimensional blind deconvolution for light microscopy: Fundamental studies and practical implementations
199. Design techniques and implementations of high-speed analog communication circuits: Two analog-to-digital converters and a 3.125Gb/s receiver
200. High-performance cluster computing, algorithms, implementations and performance evaluation for computation-intensive applications to promote complex scientific research on turbulent flows
  <<First  <Prev  Next>  Last>>  Jump to