Font Size: a A A
Keyword [Clock and Data Recovery(CDR)]
Result: 1 - 10 | Page: 1 of 1
1. Design Of A Serial LVDS Transceiver Chipset
2. The Design And Implementation Of A Clock And Data Rccovcry Circuit Based On Phase Locked Loop
3. Research And Design Of A Continuous-rate Based-PLL Clock And Data Recovery Circuit
4. Research And Design Of High Performance Oversampling CDRs
5. Design Of CDR And FFE In 20Gb/S High-Speed SerDes
6. Research And Design Of A Ultra-High Speed Parallel Clock And Data Recovery Circuit
7. Key Technologies Research Of 12.5Gb/S SerDes Receiver And High-speed Low-power Demultiplexer
8. The Key Circuit Design Of FT-SerDes CDR
9. A 40 Gb/s PAM4 Serdes Receiver In 65nm CMOS Technology
10. Delay flip-flop (DFF) metastability impact on clock and data recovery (CDR) and phase-locked loop (PLL) circuits
  <<First  <Prev  Next>  Last>>  Jump to