Font Size: a A A

The Implementation Of Functional Coverage Driven Machine

Posted on:2016-10-19Degree:MasterType:Thesis
Country:ChinaCandidate:Y X ZhangFull Text:PDF
GTID:2308330464470323Subject:Software engineering
Abstract/Summary:PDF Full Text Request
The research emphasis of this paper is the technology of verification in the design of digital integrated circuit technology. With the rapid development of integrated circuit technology and significant increasing of size and complexity of the chip design, the possibility of design defects in circuit design phase is higher and higher, so the functional verification is becoming more and more complex and difficult. And now the chip application area is more and more widely, the design defects may case very serious consequence. So the adequacy of the verification is becoming more and more important. At present, the verification of large scale integrated circuit costs about 70% time of whole IC research. How to improve the efficiency of verification on the premise of verification adequacy, become the bottleneck of the development of integrated circuits. To find an effective and feasible verification method is necessary.In this paper, on the base of detailed analyzed and compared the traditional orientation verification method, the randomized incentive verification method and functional coverage driven verification method, aiming at the current verification work face the challenge of completeness, reusability, reliability and efficiency, we designed and implemented the functional-coverage-driven-machine based on functional coverage driven verification method and OVM verification methodology.The functional coverage driven machine can realize dynamic automated analysis of functional coverage, and guide the verification platform’s sequencer to generate meaningful sequence to cover the functional coverage hole. Also it can be easily integrated to an OVM method verification platform. The functional coverage driven machine realized high degree of reusability, enhanced the reliability of verification and improved the verification efficiency.At the end of the paper, use the functional coverage driven machine in the verification of a typical module in debug and trace system on a So C chip. By collecting and comparing functional coverage information of different time during the verification, we come to the conclusion that the functional coverage driven machine makes functionalcoverage increased convergence speed by 50%.
Keywords/Search Tags:Functional Coverage, OVM, System Verilog, Verification Platform, Automatic
PDF Full Text Request
Related items