Font Size: a A A

Research Of Fault-Tolerant Designs On Multi-Hop Traversal And TSV In 3D Network-on-Chip

Posted on:2017-04-02Degree:MasterType:Thesis
Country:ChinaCandidate:Q ChenFull Text:PDF
GTID:2308330485462202Subject:Computer Science and Technology
Abstract/Summary:PDF Full Text Request
With the benefits of 3D IC and Network-on-Chip (NoC), three-dimensional Network-on-Chip (3D NoC) becomes one of the most effective on-chip communication fabrics for multicore designs. Compared with the 2D architecture,3D NoC uses TSV to interconnet differeent layers in vertical direction, with a lower network communication latency and higher throughput. Moreover, the globle interconnect length is also greatly be reduced. However, the latency inside the router is still large, which becomes the obstruction of the performance promotion. Mutil-hop traversal mechanism is an effective method to solve this problem, which reduces the internal latency of the router to achieve the reduction of the global interconnection. However, with the scale of integrated circuit increasing and the size of transistors shrinking, manufacturing defects, soft errors and aging lead to the fault problems of the chip and TSV. It is necessary to improve the reliability of component in 3D NoC. In this paper, therefore, we conduct related reliability researches on the mutil-hop traversal and TSV channel.(1) In order to resolve the problems caused by faulty routers, this thesis proposes a reliable multi-hop traversal mechanism for 3D NoC. To enable fault-tolerance, we redesign the multi-hop traversal by combining the fault vectors of routers in same dimension. Under fault condition, we propose an update method to obtain the farthest multi-hop traversal node within a single dimension. Moreover, a reliable multi-hop path establishment method is proposed, which is based on the policy that arbitrates the downstream crossbar in advance. The experimental results show that proposed method achieves up to 40.5% reduction in the average packet latency and 33.3% improvement in the throughput in different synthetic traffic patterns under faulty NoC.(2) To solve the fault problems of TSV, redundancy and serialization are two main solutions. However, only use one of the two methods will face the problems of resource wasting and low efficiency of fault tolerance. To this end, in this paper we propose a hybrid fault-tolerant method for TSV based on group sharing in 3D NoC. TSVs are divided into four groups, and every two groups is an adjacent group in which we achieve TSV groups sharing. Based on TSV groups sharing, we design a novel TSV redundancy and serialization architecture, which fully considers the rational allocation and the efficient utilization of resources. Moreover, depending on the failure extent of TSV, we adaptively select the redundant mechanism or the serialization mechanism to achieve the hybrid fault-tolerance of TSV. Experimental results show that, the proposed method improves the performance obviously compared with the pure redundancy mechanism or the pure serialization mechanism.
Keywords/Search Tags:3D NoC, multi-hop traversal, reliability, TSV
PDF Full Text Request
Related items